Applied Materials' PPACt Play Drives Record Quarterly Revenues

0
Mar 9, 2022

Global secular trends in the electronics industry, like the Internet of Things (IoT), 5G, innovation in edge and cloud, are expected to continue to fuel growth across the semiconductor and wafer fab equipment markets. Applied Materials is a leading manufacturer of wafer fab equipment. With a broad portfolio of products and technologies to accelerate the new PPACt (Power, Performance, Area, Cost and Time-to-Market) playbook and strategic investments in global infrastructure, Applied Materials is expected to surpass its long-term growth forecast.

Record Quarterly Revenues in Q1FY2022 

  • Highest ever quarterly revenues in Q1 2022 (quarter ended January 30, 2022).
  • Orders for the quarter at an all-time high, beating the previous record by half a billion dollars.
  • Revenue and EPS in the upper end of the guidance range.
  • Record order backlog.
  • Record quarterly revenue in Process Control, Chemical Vapor Deposition (CVD) and Chemical Mechanical Planarization.
  • Highest ever DRAM revenue.

Applied’s outlook for 2022 and beyond looks impressive due to positive global trends:

  • Digital transformation of the economy built upon semiconductors.
  • Capital intensity to remain at levels never seen before.
  • Semi and equipment markets growing structurally larger.
  • Wafer Front-End Equipment (WFE) market to grow by over 15% to $100 billion in 2022.
  • Foundry-Logic made up more than 60% of total WFE investments.
  • Within WFE, Foundry-Logic spending growing faster than memory.
  • Long way to go before supply catches with demand.
  • Demand for semiconductors stronger and broader.
  • WFE spending limited by supply in 2021 with unmet demand pushed to 2022 and beyond.

Applied Materials Earnings, Q1 2021 vs Q1 2022 Counterpoint Research

Source: Applied Materials Earnings, Counterpoint Research Semi Equipment Tracker

Applied’s PPACt framework for describing the semiconductor industry’s future technology roadmap is enabled by

  • New architectures: ASIC, accelerator, in-memory compute
  • New materials: Gate, contact, interconnect
  • New structures/3D: GAA transistors, 3D DRAM, 3D NAND
  • New ways to shrink: Materials enabled patterning, EUV enablement, 3D patterning control
  • Advanced packaging: High-bandwidth memory, 2.5D silicon interposer, 3D TSV, hybrid bonding

Key technology inflections that make up the PPACt playbook are primarily enabled by materials engineering, Applied’s core strength.

Applied’s relentless focus to develop differentiated technology to enable these inflections will help capture a majority of the total available market.

Q1 2022 Highlights:

  • Strategic investment in state-of-the-art logistics service center in Austin, Texas to expand manufacturing capacity.
  •  Significant wins in areas not served in the past:
    • Received record multiple new tool orders in Etch business at advanced nodes in Foundry-Logic across all three leading-edge customers.
    • Secured a new strategic penetration for R&D acceleration using Aix- Actionable Insight Accelerator platform at a leading customer.
    • Received first wins with a new carbon hard mask deposition and etch solution at a leading memory manufacturer.
  • These wins to accelerate R&D and technology transfer and ramp up, optimize productivity in high-volume manufacturing, resulting in significant revenue growth going ahead.
  • Near-term challenges persist in realizing strength in the business due to supply constraints. However, a clear indication of future growth potential visible as execution against product roadmap is good.

 Applied’s Key Performance Metrics for Q1 2022: Record backlog

  • Delivered highest ever quarterly revenues of $6.27 billion, up 21% YoY.
  • Gross margin at 47.2%.
  • EPS at $1.89.
  • Record $2.66 billion in cash from operations.
  • China contributed to 32% of net sales revenue.
  • Trailing 12-month revenues up 68% YoY in Inspection and Metrology business.
  • eBeam revenues doubled in the same period.
  • Increased tools under comprehensive service agreement by 13% YoY.
  • Subscription renewal rate at 92%.
  • Semi Systems backlog increased by more than $1.8 billion to a record $8 billion.
  • Average tenure of long-term service agreements up from 1.9 years to 2.3 years.

Segment-wise Details: Share of Semiconductor Systems Growing Fast

Applied Materials' operates in three reportable segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets

Applied Materials Earnings Share by Segment, Q1 2021 vs Q1 2022 Counterpoint Research

Source: Applied Materials Earnings, Counterpoint Research Semi Equipment Tracker

Semiconductor Systems: $4.567 Billion

Includes semiconductor capital equipment for etch, deposition, chemical mechanical planarization, metrology and inspection, wafer packaging, and ion implantation.

  • Record revenue at $4.567 billion, +29% YoY
  • Operating margin at 38.8%, +280bps YoY
  • Foundry, logic contribution at 60%, followed by DRAM at 25% and Flash memory at 15%

Semiconductor systems clocked record revenue due to strong customer pull for co-optimized and integrated solutions.

Applied Global Services: $1.32 Billion

This segment provides integrated solutions to optimize equipment and fab performance, including spares, upgrades, services and factory automation software for semiconductors and other products.

  • Revenue at $1.32 billion, +14% YoY
  • Operating margin at 30.5%, +110 bps YoY
  • 67% of backlog in subscriptions with 1-3-year terms

Display and Adjacent Markets: $366 Million

This segment includes products for manufacturing liquid crystal displays (LCDs), organic light-emitting diodes (OLEDs), equipment upgrades and other display technologies for consumer-oriented devices.

  • Revenue above guidance
  • Operating margin at 20.8%, +280 bps YoY

Demand for OLED expanding beyond smartphones into computing and TV to fuel growth in the long term.

Q2 2022 Guidance:

Adoption of fully integrated solutions to generate additional $600 million of revenue.

  • Net sales revenue to be approximately between $6.05 billion and $6.65 billion.
  • EPS to be in the range of $1.75 – $ 2.05.
  • Operating expenses to be $1.02 billion.
  • Decline in gross margin to 47% due to absorption of near-term cost pressures primarily related to expediting of shipments to customers.
  • Expect strong growth in optical wafer inspection combined with the extension of eBeam leadership.
  • Adoption of co-optimized and fully integrated solutions to generate incremental $600 million of revenue in 2022.
  • Combined software business in AGS and semiconductor systems to generate more than $300 million of revenue in 2022.

Segment-wise Revenue Guidance

  • Semiconductor Systems at $4.6 billion.
  • Applied Global Supplies at $1.35 billion.
  • Display and Adjacent Markets at $380 million.

Development in the Quarter:

  • Expansion of advanced packaging development ecosystem for hybrid bonding to accelerate the development of building blocks of heterogeneous integration with the provision of a complete suite of tools and technologies.
  • Definition of fab performance to include sustainability with a focus on minimizing energy consumption, environmental impact of chemical use and footprint intensity.

Challenges:

Availability of certain silicon components going into subsystems within the products hampered due to supply chain disruptions.

Priority to manage supply chain constraints through

  • Partnership with suppliers and chipmakers to find solutions and eliminate bottlenecks.
  • Investment in global infrastructure.
  • Building stronger supply chain.
  • Collaborating differently.

Key Takeaways:

  • Applied Materials recorded all-time-high quarterly revenues thanks to a large and growing installed base of manufacturing systems, and subscription model gaining ground.
  • A broad and differentiated technology portfolio puts Applied in a great position to capture a large portion of served markets in years to come.
  • Major technology inflections that make up the industry’s PPACt roadmap expand Applied’s addressable market opportunities.
  • Customers providing longer-term visibility and collaborating more closely on capacity planning have positive implications for Applied’s business.
  • Efforts to shorten ramp times, improve device performance and yield, and optimize factory output and operating costs will enable Applied Materials to meet its long-term forecast.

Summary

Published

Mar 8, 2022

Author

Ashwath Rao

Ashwath Rao has more than 20 years working experience in industry, research and academics. Ashwath had an opportunity to work with Intel Technology. Ashwath’s major coverage in Counterpoint is semiconductors and component research. Ashwath holds a Doctoral Degree, specializing in Microelectronics from Indian Institute of Information Technology, Master of Science (VLSI) from Manipal University and a Bachelor of Engineering (Electronics & Communication) from Mangaluru University.

Back To List