Rebooting Growth: Intel to Launch 18A Process Node-based CPUs in 2025

0
Aug 13, 2024
  • Intel looks to regain leadership in the tech industry by focusing on its ambitious 5N4Y goals and introducing advanced process nodes like Intel 7, Intel 4, Intel 3, Intel 20A, and Intel 18A.
  • Intel's new technologies, such as RibbonFET and PowerVia, are revolutionizing AI computing by reducing power leakage, increasing transistor density, and enhancing overall performance. This positions Intel at the forefront of power-efficient and high-performance computing.
  • Intel is promoting its next-generation advanced packaging technologies, including EMIB and Foveros Direct 3D, to meet the increasing demand for Chiplets in the AI-driven era.
  • However, rapid technological advancements may lead to higher costs due to suboptimal yield and quality at new nodes. In the foundry model, where customers bear these costs, low yields can be a major issue.

Intel 18A, Intel Foundry's leading-edge process node, is on track for production in 2025. With RibbonFET and PowerVia, foundry customers will unlock greater processor scale and efficiency to drive the future of AI computing forward. (Credit: Intel Foundry)

Image source: Intel

Intel announced on August 6 that its flagship CPUs, Panther Lake and Clearwater Forest, built on the Intel 18A advanced process nodes have successfully powered on and booted operating systems. Both products are on track to begin production in 2025. Additionally, Intel anticipates that its first external customer will tape out on the Intel 18A process node in H1 2025.

Intel has introduced several groundbreaking technologies such as RibbonFET, PowerVia, Foveros Direct 3D, and EMIB. Once a pioneer in the tech industry, Intel has fallen behind its competitors in recent years due to delays in its 14nm and 10nm manufacturing processes. To regain its leadership position, Intel is now focused on achieving its ambitious 5N4Y goals and continuously introducing advanced process nodes such as Intel 7, Intel 4, Intel 3, Intel 20A, and Intel 18A. Notably, Intel 3 and Intel 18A are integral to both Intel’s internal manufacturing operations and foundry manufacturing services.

There has been a noticeable increase in customer demand for dual sourcing in advanced wafer manufacturing, reflecting a growing preference among clients to diversify their supply chains and mitigate risks associated with relying on a single supplier.

Compared to other companies, Intel's experience in the wafer foundry industry is still relatively limited. Traditionally, Intel followed the Integrated Device Manufacturing (IDM) model, producing a limited range of products. This approach differs significantly from the wafer foundry model, which involves manufacturing a diverse array of products for various clients. Consequently, Intel faces a steep learning curve in adapting to the complexities and demands of the foundry business.

To help customers swiftly adopt Intel's 18A process for designing superior chips, Intel released the 18A Process Design Kit (PDK) 1.0 in July 2024. This kit provides access to the advanced RibbonFET Gate-All-Around (GAA) transistor architecture and the PowerVia backside power delivery technology, enabling customers to leverage these innovations in their Intel 18A designs for improved performance and efficiency.

Intel 3 has been successfully deployed in next-generation processors such as Emerald Rapids and Sierra Forest, showcasing its cutting-edge capabilities in high-performance computing. Meanwhile, Intel 18A has garnered significant attention from leading technology companies, with Microsoft and other major IC design firms expressing strong interest in leveraging this node for their innovative product developments.

Intel plans to start producing the 18A wafers in 2025 for the Panther Lake and Clearwater Forest chips, integrating advanced RibbonFET and PowerVia technologies. RibbonFET, a type of GAA transistor, improves power efficiency, enables greater miniaturization of chip components and significantly reduces current leakages. PowerVia, a type of backside power delivery method, moves the power supply network from the front side of the wafer to the back, separating power supply and signal paths to avoid interference with each other. This can improve power supply efficiency and free up space on the front of the wafer, increasing transistor density.

Additionally, to address the growing demand for chiplets in the AI era, Intel has developed next-generation advanced packaging technologies, including Embedded Multi-die Interconnect Bridge (EMIB) and Foveros Direct 3D. These innovations enable customers to achieve greater density and improved power efficiency, ensuring the continued advancement of Moore's Law.

Intel’s Clearwater Forest is the first chip to extensively use RibbonFET, PowerVia, and Foveros Direct 3D packaging technologies, significantly ahead of its competitors. Meanwhile, Panther Lake is a key client CPU product following Lunar Lake and Arrow Lake. Panther Lake is expected to enter the market in 2025, featuring high TOPS NPU cores, which are crucial in the Generative AI PC competition.

 

Challenges:

To reclaim its former glory, Intel must overcome numerous challenges with its new wafer manufacturing technology.

  • The rapid advancement of technology can lead to suboptimal yield rates, which in turn increases costs. Although this is manageable in the IDM model, it poses a significant challenge in the foundry model. In the foundry model, yield is critical because the wafer owner is the customer. Low yields translate directly to higher costs for the customer, who must absorb these additional expenses.
  • Employing multiple new technologies simultaneously can provide Intel a significant edge over competitors. However, this approach also introduces potential challenges in integration, making it difficult to pinpoint and resolve issues.

Related Posts:

Related Reports:

Summary

Published

Aug 13, 2024

Author

Brady Wang

Brady Wang has more than 20 years working experience in high-technology companies from semiconductor manufacturing to market intelligence, and strategy advisory. Brady’s major coverage in Counterpoint is semiconductors. Prior to joining Counterpoint, Brady Wang worked for Gartner for 11 years. He started his career at TSMC as an engineer for 6 years.

Back To List