Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints

0
Aug 6, 2022

Lam Research Reports Record Revenue for Q2 2022 Despite Supply Chain Constraints

Lam Research a global supplier of innovative wafer fabrication equipment has reported a record Q2 2022 ahead of its guidance range, thanks to strong spending in foundry/logic outgrowing NAND and DRAM investments. Net revenue stood at a record $4.6 billion, an increase of 12% YoY.

Lam’s revolutionary product platform, primarily driven by systems innovation and installed base along with globally diverse manufacturing and stronger and deeper customer collaborations, will help generate revenue growth in the long term.

Q2 update

  • Record revenue of $4.6 billion and EPS of $8.83 well ahead of guidance ranges, thanks to strong execution and supply chain actions driving improvement in a supply-constrained environment.
  • Revenue from Customer Support Business Group (includes business from spares, services, upgrades and Reliant category) reached a record $1.63 billion, an increase of 18% YoY. Most of the growth came from spares and Reliant business as customers’ fab utilization levels were high and investment in specialty market areas such as RF and power devices increased.
  • Revenue from the foundry segment accounted for 26% of total systems revenue on account of broad-based spending by customers across both leading and specialty node devices.
  • Logic and other segments also witnessed record performance at 20% of systems revenue due to demand in the market for microprocessors, analog components, image sensors and advanced packaging solutions.
  • In terms of revenue by region, China contributed 31%, South Korea 24% and Taiwan 19%. Technology shipment restrictions on China had the least impact on Q2 revenue due to minimal shipments of process tools for fabs operating below 14 nm nodes.
  • Increased spending in foundry/logic will continue due to the ramping up of activities in advanced nodes. Any restrictions on the sale of equipment crucial for the adoption of EUV in high-volume manufacturing will impact revenue growth in the long term.

Counterpoint Research Lam-chart-revenue

Source: Lam Research Earnings, Counterpoint Research Wafer Fab Equipment TrackerCounterpoint Research Lam-segment-wise chart1Source: Lam Research Earnings, Counterpoint Research Wafer Fab Equipment Tracker

Q3 guidance

  • Revenue to be between $4.6 billion and $5.2 billion.
  • Gross margin to be between 44% and 46%.
  • EPS to be in the range of $8.75-$10.25.

Development in the quarter

Lam has pioneered a new deposition technology called SPARC for depositing ultra-thin layers of silicon carbide films with the required robustness to survive downstream processing.

SPARC has enabled performance and technology scaling of advanced logic and DRAM by combining Lam’s unique plasma capability with chemistry and process engineering. With the advent of gate-all-around (GAA) architecture, SPARC technology will find application in extensive use cases in the future.

Opportunities

Lam’s innovative product offerings in providing solutions to the challenges in the adoption of 5G and Wi-Fi 6/6E wireless technologies and dry resist technology will help capture a significant amount of market share. Key technology inflections and collaborations provide huge opportunities for Lam in the long term.

Key technology inflections

5G and Wi-Fi 6/6E:

5G offers greater bandwidth, faster connectivity and lower latency by utilizing a greater portion of radio frequencies in the wireless spectrum. Ensuring the coexistence of 5G and newer-generation Wi-Fi 6/6E for seamless wireless connectivity is extremely challenging due to the technical demands on the RF filters that allow signals in the band to be separated.

One of the critical steps in RF filter manufacturing is depositing and etching Sc-doped layer with high throughput. Lam has acquired Solmates, a pioneer in the focused deposition of AlScN films with best-in-class Sc doping levels and film properties. The acquisition will complement Lam’s expertise in high-quality film deposition, high etch rate and selectivity with high productivity.

RF filters combined with other devices such as RF switches, low-noise amplifiers, power amplifiers and antenna tuners form complex RF module solutions and are fabricated using RF-CMOS (complementary metal oxide semiconductor) or RF-SOI (silicon on insulator) technologies. These devices have specific fabrication schemes that allow the integration of capacitor and inductors into the back end of line (BEOL) essential for the efficient operation of the devices at high frequencies.

These additional integration BEOL steps provide a huge opportunity for Lam’s deposition and etching systems and will enable chipmakers to achieve higher frequencies and bandwidth required to support the coexistence of 5G and Wi-Fi 6/6E.

Dry resist technology:

This technology was first developed by Lam in collaboration with ASML and IMEC to extend the resolution, productivity and yield in EUV lithography, addressing key challenges associated with the creation of DRAM and logic technologies. Dry resist development technology will help Lam increase its service addressable market in the multi-billion-dollar photoresist equipment industry in the future.

The resist plays a critical role in the lithography process and ideally should have high resolution, low line edge roughness and high sensitivity. The use of traditional spin-on liquid resists in patterning results in image blur as chipmakers move to advanced technology nodes, reducing resolution and increasing line edge roughness, adversely affecting the performance of the device.

Fundamentally, spin-on liquid resists have limitations in terms of viscosity and surface adhesion, leading to undesirable trade-offs in lithography performance. Dry resist technology involves dry deposition of small metalorganic units, providing unique ability to change the resist thickness simply by changing the deposition and development time, overcoming concerns over viscosity, chemistry shelf life and other limitations that can affect spin-on resists.

Lam’s dry resist and development expertise matured over the years will accelerate the industry transition to EUV lithography’s future node applications and enable continued scaling for advanced logic and memory devices through precise, low-defect and lower-cost patterning. The dry resist approach will also provide significant running cost savings to customers along with delivering a more sustainable solution for environmental, social and governance (ESG) measures.

Collaborations

 Lam and SK Hynix:

 SK Hynix will use Lam's dry resist underlayer and dry development processes for advanced DRAM patterning. As DRAM continues to scale, innovations in EUV patterning are critical for delivering the performance needed for today's increasingly connected devices at a lower cost. The cooperation with SK Hynix along with ecosystem partners will help remove the barriers associated with scaling with EUV lithography.

Lam, Gelest and Entegris:

Lam’s collaboration with Gelest and Entegris will advance the EUV dry resist technology ecosystem for future device generations of logic and DRAM products.

The collaboration is vital for EUV dry resist technology integration into high-volume manufacturing and will provide:

  • Dual-source supply from semiconductor material leaders.
  • Ensure a robust supply chain for process chemicals critical to EUV adoption.
  • Broaden the growing ecosystem for dry resist technology.
  • Reduce the impact of supply chain disruptions in the future.

The partnership will also accelerate the development of future cost-effective EUV dry resist solutions for high numerical aperture (high-NA) EUV patterning, widely seen as the patterning technology that will be required for continued device scaling and advancement of semiconductor technology over the coming decades.

Key takeaways

  • Collaborations with ecosystem players will alleviate the impact of supply chain disruptions in the future.
  • Lam’s dry resist and development technology will accelerate the industry transition to EUV lithography’s future node applications.
  • Lam’s long-term growth looks strong due to its differentiated etch and deposition equipment portfolio for manufacturing higher-performance and more scalable device architectures.
  • Shipment restrictions on China had the least impact on Q2 revenue due to minimal shipments of process tools for fabs operating below 14 nm nodes.

Summary

Published

Aug 5, 2022

Author

Ashwath Rao

Ashwath Rao has more than 20 years working experience in industry, research and academics. Ashwath had an opportunity to work with Intel Technology. Ashwath’s major coverage in Counterpoint is semiconductors and component research. Ashwath holds a Doctoral Degree, specializing in Microelectronics from Indian Institute of Information Technology, Master of Science (VLSI) from Manipal University and a Bachelor of Engineering (Electronics & Communication) from Mangaluru University.

Back To List